Journal of Applied Science and Engineering

Published by Tamkang University Press

1.30

Impact Factor

1.60

CiteScore

Hong-Yi Huang1 and Shih-Lun Chen This email address is being protected from spambots. You need JavaScript enabled to view it.2

1VLSI/CAD Laboratory, Department of Electronic Engineering, Fu-Jen Catholic University, Taiwan 242, R.O.C.
2Nanoelectronics and Gigascale Systems Laboratory, Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan 300, R.O.C.


 

Received: March 29, 2005
Accepted: May 24, 2005
Publication Date: March 1, 2006

Download Citation: ||https://doi.org/10.6180/jase.2006.9.1.04  


ABSTRACT


A transient sensitive trigger (TST) was used to reduce the RC delay time of the long interconnection in deep sub-micron (DSM) processes. The conventional TST circuit exhibits a voltage drop in threshold voltage during transitions, extending the delay time. This paper proposes new circuits called transition detecting circuits (TDCs) to overcome the drawbacks of the conventional TST. The proposed circuits yield 4574% shorter delay time than the conventional TST simulation using a 0.25 m CMOS process. The experiment results also show that the proposed TDCs are faster than the conventional TST. The proposed circuits can be applied to receiving long interconnect signals in high-speed VLSI design.


Keywords: High-Speed, Interconnections, Receivers, Deep Sub-Micron, Transition Detection


REFERENCES


  1. [1] Sarawat, K. C. and Mohammadi, F. “Effect of Scaling of Interconnections on the Time Delay of VLSI Circuits,” IEEE J. Solid-State Circuits, Vol. SC-17, pp. 275280 (1982).
  2. [2] Nassif, S., “Delay Variability: Sources, Impacts and Trends,” Tech. Dig. IEEE International Solid-State Circuits Conf., pp. 368369 (2000).
  3. [3] Davis, J. A., Venkatesan, R., Kaloyeros, A., Beylansky, M., Souri, S. J., Banerjee, K., Saraswat, K. C., Rahman, A., Reif, R. and Meindl, J. D., “Interconnect Limits on Gigascale Integration (GSI) in the 21st Century,” Proceedings of IEEE, Vol. 89, pp. 305324 (2001).
  4. [4] Zhao, Bin “Advanced Interconnect Systems for ULSI Technology,” Proc. IEEE International Conf. on Solid-State and Integrated Circuits, pp. 4346 (1998).
  5. [5] Miyamoto, M., Takeda, T. and Furusawa, T., “Highspeed and Low-power Interconnect Technology for Sub-quarter-micron ASIC’s,” IEEE Trans. Electron Devices, Vol. 44, pp. 250256 (1997).
  6. [6] Dhar, S. and Franklin, M. A., “Optimum Buffer Circuits for Driving Long Uniform Lines,” IEEE J. Solid-State Circuits, Vol. 26, pp. 3240 (1991).
  7. [7] Jiang, Y., Sapatnekar, S. S. and Sarto, E., “Interleaving Buffer Insertion and Transistor Sizing into a Single Optimization,” IEEE Trans. VLSI Systems, Vol. 6, pp. 625633 (1999).
  8. [8] Ismail, Y. I., Friedman, E. G. and Neves, J. L., “Repeater Insertion in Tree Structured Inductive Interconnect,” IEEE Trans. Circuits and Systems-II: Analog and Digital Signal Processing, Vol. 48, (2001).
  9. [9] Colshan, R. and Jaroun, B., “A Novel Reduced Swing CMOS BUS Interface Circuit for High Speed Low Power VLSI Systems,” Proc. IEEE International Symp. on Circuits and Systems, Vol. 4, pp. 351354 (1994).
  10. [10] Iima, T., Mizuno, M., Horiuchi, T. and Yamashina, M., “Capacitor Coupling Immune, Transient Sensitive Accelerator for Resistive Interconnect Signals of Subquarter Micron ULSI,” IEEE J. Solid-State Circuits, Vol. 31, pp. 531536 (1996).
  11. [11] Zhang, H., George, V. and Rabaey, J. M., “Low-swing on-chip Signaling Techniques: Effectiveness and Robustness,” IEEE Trans. VLSI Systems, Vol. 8, pp. 264 272 (2000).
  12. [12] Huang, H.-Y. and Chen, S.-L., “Input Isolated Sense Amplifiers,” Proc. IEEE International Symp. on Circuits and Systems, Vol. 4, pp. 587590 (2000).
  13. [13] Huang, H.-Y. and Chen, S.-L., “High-speed Receivers for On-chip Interconnections in Deep-submicron Process,” Proc. IEEE International Conf. on Electrons, Circuits, and Systems, pp. 769772 (2002).