Jiann-Chyi Rau This email address is being protected from spambots. You need JavaScript enabled to view it.1, Po-Han Wu1 and Wei-Lin Li1

1Department of Electrical Engineering, Tamkang University, Tamsui, Taiwan 251, R.O.C


 

Received: March 11, 2011
Accepted: November 22, 2011
Publication Date: June 1, 2012

Download Citation: ||https://doi.org/10.6180/jase.2012.15.2.09  


ABSTRACT


This paper presents a low power strategy for test data compression and a new decompression scheme for test vectors. In our method, we propose an efficient algorithm for scan chain reordering to deal with the power dissipation problem. Further, we also propose a test slice difference (TSD) technique to improve test data compression. It is an efficient method and only needs one extra scan cell. In experimental results, the scheme that we presented achieve high compression ratio. The power consumption is also better compared with other well-known compression techniques.


Keywords: Test Data Compression, Low Power Testing, VLSI, Design for Testability (DFT)


REFERENCES


  1. [1] Hamzaoglu, I. and Patel, J. H., “Test Set Compaction Algorithms for Combinational Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 957963 (2000).
  2. [2] Lee, J. and Touba, N. A., “Combining Linear and Non-Linear Test Vector Compression Using Correlation-Based Rectangular Encoding,” Proceedings of the IEEE VLSI Test Symposium, Berkeley, CA, USA, pp. 252257 (2006).
  3. [3] Wang, L. T., Wu, C. W. and Wen, X., VLSI Test Principles and Architectures: Design for Testability, San Francisco, CA, USA: Morgan Kaufmann (2006).
  4. [4] El-Maleh, A., “Efficient Test Compression Technique Based on Block Merging,” The Institution of Engineering and Technology Computers & Digital Techniques, Vol. 2, pp. 327335 (2008a).
  5. [5] Hellebrand, S. and Würtenberger, A., “Alternating Run-Length Coding - A Technique for Improved Test Data Compression,” IEEE International Workshop on Test Resource Partitioning, Baltimore, MD, USA (2002).
  6. [6] Wu, P. H., Chen, T. T., Li, W. L. and Rau, J. C., “An Efficient Test-Data Compaction for Low Power VLSI Testing,” IEEE International Conference on Electro/ Information Technology, Ames, IA, USA, pp. 237 241 (2008a).
  7. [7] Hamzaoglu, I. and Patel, J. H., “Reducing Test Application Time for Full Scan Embedded Cores,” International Symposium on Fault-Tolerant Computing, Madison, Wisconsin, USA, pp. 260267 (1999).
  8. [8] Lee, K. J., Chen, J. J. and Huang, C. H., “Broadcasting Test Patterns to Multiple Circuits,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 17931802 (1999).
  9. [9] Gonciari, P. T., Al-Hashimi, B. M. and Nicolici, N., “Variable-Length Input Huffman Coding for Systemon-a-Chip Test,” IEEE Transactions on ComputerAided Design of Integrated Circuits and Systems, Vol. 22, pp. 783796 (2003).
  10. [10] Jas, A., Ghosh-Dastidar, J., Ng, M. and Touba, N. A., “An Efficient Test Vector Compression Scheme Using Selective Huffman Coding,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 22, pp. 797806 (2003).
  11. [11] Kavousianos, X., Kalligeros, E. and Nikolos, D., “Multilevel Huffman Coding: An Efficient Test-Data Compression Method for IP Cores,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Sonoma, CA, USA, Vol. 26, pp. 10701083 (2007a).
  12. [12] Kavousianos, X., Kalligeros, E. and Nikolos, D., “Optimal Selective Huffman Coding for Test-Data Compression,” IEEE Transactions on Computers, Vol. 56, pp. 11461152 (2007b).
  13. [13] Nourani, M. and Tehranipour, M. H., “RL-Huffman Encoding for Test Compression and Power Reduction in Scan Applications,” ACM Transactions on Design Automtion of Electronic Systems, New York, NY, USA Vol. 10, pp. 91115 (2005).
  14. [14] Tehranipoor, M., Nourani, M. and Chakrabarty, K., “Nine-Coded Compression Technique for Testing Embedded Cores in SoCs,” IEEE Transactions on VLSI Systems, Vol. 13, pp. 719731 (2005).
  15. [15] Chandra, A. and Chakrabarty, K., “System-on-a-Chip Test-Data Compression and Decompression Architectures Based on Golomb Codes,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 20, pp. 355368 (2001a).
  16. [16] Chandra, A. and Chakrabarty, K., “Test Data Compression and Test Resource Partitioning for Systemon-a-Chip Using Frequency-Directed Run-Length (FDR) Codes,” IEEE Transactions on Computers, Vol. 52, pp. 10761088 (2003).
  17. [17] El-Maleh, A., “Test Data Compression for Systemon-a-Chip Using Extended Frequency-Directed RunLength Code,” The Institution of Engineering and Technology Computers & Digital Techniques, Vol. 2, pp. 155163 (2008b).
  18. [18] Sinaoglu, O., Bayraktaroglu, I. and Orailoglu, A., “Scan Power Reduction through Test Data Transition Frequency Analysis,” Proceedings of the IEEE International Test Conference, Washington, DC, USA, pp. 844850 (2002).
  19. [19] Lai, N. C., Wang, S. J. and Fu, Y. H., “Low-Power BIST with a Smoother and Scan-Chain Reorder under Optimal Cluster Size,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Sonoma, CA, USA, Vol. 25, pp. 25862594 (2006).
  20. [20] Alpaslan, E., Huang, Y., Lin, X., Cheng, W. T. and Dworak, J., “Reducing Scan Shift Power at RTL,” Proceedings of the IEEE VLSI Test Symposium, San Diego, CA, USA, pp. 139146 (2008).
  21. [21] Wang, S. and Gupta, S. K., “ATPG for Heat Dissipation Minimization during Test Application,” IEEE Transactions on Computers, Vol. 47, pp. 256262 (1998).
  22. [22] Tseng, W. D. and Lee, L. J., “Reduction of Power Dissipation during Scan Testing by Test Vector Ordering,” IEEE International Workshop on Microprocessor Test and Verification, Austin, TX, USA, pp. 1521 (2007).
  23. [23] Badereddine, N., Girard, P., Pravossoudovitch, S., Landrault, C., Virazel, A. and Wunderlich, H. J., “Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics,” Proceedings of the IEEE Design and Test of Integrated Systems in Nanoscale Technology, Tunis, Beijing, pp. 359364 (2006).
  24. [24] Wang, S. J., Li, K. S. M., Chen, S. C., Shiu, H. Y. and Chu, Y. L., “Scan-Chain Partition for High Test-Data Compressibility and Low Shift Power under Routing Constraint,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, pp. 716 727 (2009).
  25. [25] Fang, H., Tong, C. and Cheng, X., “RunBasedReordering: A Novel Approach for Test Data Compression and Scan Power,” Proceedings of the Asia and South Pacific Design Automation Conference, Yokohama, Japan, pp. 732737 (2007).
  26. [26] Chandra, A. and Chakrabarty, K., “Combining LowPower Scan Testing and Test Data Compression for System-on-a-Chip,” Proceedings of the IEEE Design Automation Conference, Las Vegas, NV, USA, pp. 166169 (2001b).
  27. [27] Balakrishnan, K. J. and Touba, N. A., “Relationship between Entropy and Test Data Compression,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Sonoma, CA, USA, Vol. 26, pp. 386395 (2007).
  28. [28] Jas, A. and Touba, N. A., “Test Vector Decompression via Cyclical Scan Chains and Its Application to Testing Core-Based Designs,” Proceedings of the IEEE International Test Conference, Yokohama, Japan, pp. 458464 (1998).
  29. [29] Synopsys TetraMAX ATPG user guide version x2005.09.
  30. [30] Rosinger, P., Gonciari, P., Al-Hashimi, B. and Nicolici, N., “Simultaneous Reduction in Volume of Test Data and Power Dissipation for System-on-a-Chip,” Electronics Letters, Vol. 37, pp. 14341436 (2001).
  31. [31] Sankaralingam, R., Oruganti, R. R. and Touba, N. A., “Static Compaction Techniques to Control Scan Vector Power Dissipation,” Proceedings of the IEEE VLSI Test Symposium, Montreal, Que, Canada, pp. 3540 (2000).