Wei-Chieh Yu1 and Shih-Hsu Huang This email address is being protected from spambots. You need JavaScript enabled to view it.1

1Department of Electronic Engineering, Chung Yuan Christian University, Chung Li, Taiwan 320, R.O.C.


 

Received: September 1, 2006
Accepted: August 2, 2007
Publication Date: March 1, 2008

Download Citation: ||https://doi.org/10.6180/jase.2008.11.1.05  


ABSTRACT


As the process shrinks into the deep sub-micron technology, the design complexity continues to increase. Therefore, the heat dissipation in a modern VLSI chip is skyrocketing. In this paper, we study the functional unit binding for heat dissipation. It is well known that, if there is more inactive time interval between two consecutive operations executing in the same functional unit, the more heat reduction can be achieved. Therefore, given a scheduled data flow graph (DFG) and the functional units, our objective is to maximize the summation of inactive time intervals. An integer linear programming (ILP) approach is proposed to formally formulate this problem. Benchmark data consistently show our approach achieves good results within an acceptable run time.


Keywords: Electronic Design Automation, Computer Aided Design, High Level Synthesis, Integer Linear Programming, Resource Binding


REFERENCES


  1. [1] Monterio, J., Devadas, S., Ashar, P. and Mauskar, A., “Scheduling Technique to Enable Power Management,” Proceedings of IEEE/ACM Design Automation Conference, pp. 349352 (1996).
  2. [2] Chen, C. and Sarrafzadeh, M., “Power Management Scheduling Technique for Control Dominated High Level Synthesis,” Proceedings of IEEE Design, Automation and Test in Europe Conference and Exhibition, pp. 10161020 (2002).
  3. [3] Cong, J., Wei, J. and Zhang, Y., “A Thermal-Driven Floorplanning Algorithm for 3D ICs,” Proceedings of IEEE/ACM International Conference on ComputerAided Design, pp. 306313 (2004).
  4. [4] Basu, A., Lin, S. C., Wason, V., Mehrotra, A. and Banerjee, K., “Simultaneous Optimization of Supply and Threshold Voltages for Low-Power and High-Performance Circuits in the Leakage Dominant Era,” Proceedings of IEEE/ACM Design Automation Conference, pp. 884887 (2004).
  5. [5] Mukherjee, R., Memik, S. O. and Memik, G., “Temperature-Aware Resource Allocation and Binding in High-Level Synthesis,” Proceedings of IEEE/ACM Design Automation Conference, pp. 196201 (2005).
  6. [6] Chu, C. C. N. and Wong, D. F., “A Matrix Synthesis Approach to Thermal Placement,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 17, pp. 11661174 (1997).
  7. [7] Skadron, K., Stan, M. R., Sankaranarayanan, K., Huang, W., Velusamy, S. and Tarjan, D., “Temperature-Aware Microarchitecture,” Proceedings of IEEE International Symposium on Computer Architecture, pp. 2 13 (2003).
  8. [8] Tsai, C. and Kang, S., “Standard Cell Placement for Even On-Chip Thermal Distribution,” Proceedings of ACM International Symposium on Physical Design, pp. 179184 (1999).
  9. [9] Ramanujam, J., Deshpande, S., Hong,J. and Kandemir, M., “A Heuristic for Clock Selection in High-Level Synthesis,” Proceedings of IEEE Asia and South Pacific Design Automation Conference, pp. 414419 (2002).
  10. [10] Hwang, C. T., Lee, J. H. and Hsu, Y. C., “A Formal Approach to the Scheduling Problem in High Level Synthesis,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 10, pp. 464475 (1991).
  11. [11] Papachristou, C. A. and Konuk, H., “A Linear Program Driven Scheduling and Allocation Method Followed by an Interconnect Optimization Algorithm,” Proceedings of IEEE/ACM Design Automation Conference, pp. 7783 (1990).
  12. [13] Shin, D. and Choi, K., “Low Power High Level Synthesis by Increasing Data Correlation,” Proceedings of IEEE International Symposium on Low Power Electronic Design, pp. 6267 (1997).
  13. [14] Balakrishnan, M. and Marwedel, P., “Integrated Scheduling and Binding: A Synthesis Approach for Design Space Exploration,” Proceedings of IEEE/ACM Design Automation Conference, pp. 6874 (1989).
  14. [15] Lee, C., Potkonjak, M. and Maggione-Smith, W. H., “MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communications Systems,” Proceedings of IEEE International Symposium on Microarchitecture, pp. 330335 (1997).
  15. [16] Skadron, K., Abdelzaher, T. and Stan, M. R., “Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management,” Proceedings of IEEE International Symposium on High-Performance Computer Architecture, pp. 1728 (2002).